Home ] Up ] Test the kit ] Combinatorial Logic ] SR-Latch ] [ D-Latch ] D-F/F ] D-F/F Ce Clr Res ] Debouncher ] Counter1 ] Counter2..5 ] PWM vs. Sigma Delta ] FIFO buffer ]
D-Latch

Up ]

Return to DIG #8

D-Latches not that often used in digital designs - but they very important in concern with PLC programming.

(1) Understand the concept of a D-Latch
(2) Download the Bit-file to your kit and tryout the functionality.

 

 

 
 
bullet

 D-Latch 1 - The text book version

Basic implementation of a D-Latch with NAND-gates.
 

Read more in Wakerly 4ed - 7.2.4

Or read more in Mark - 6.1.2

bullet

 Play-Hookey link

 

bullet

 D-Latch 2 - The standard schematic symbol

This component can be found in the ISE schematic library

Please note! QN might give problems in practice, depending on the implementation in the FPGA

Generally must the Boolean equation
QN = Not Q always be true

bullet

 D-Latch 3 - The alternative Mux version  (Read more here)

The Mux-D-Latch not normally found in textbooks (could it be my own idea?)

However will a multiplexer normally present a 1- hazard and hence not fitted for asynchronous feedback loops. 

Conclusion - don't use this in "real-life" even it works perfect in practice.

bullet

 D-Latch 4 - The most common VHDL version

This the most common way to implement a D-Latch with VHDL.
What's actually behind a VHDL model???

The synthisise tool (most likely XTS) use your VHDL code to figure out the functionality of the circuit you wants.

In the end must this circuit use the built-in components in the FPGA.

Note how the memory elements can set as either FF or LATCH with active high or low inputs.  

A view from the inside of a Spartan 3E FPGA

 
 
bullet

Download here: Bitfiles_etc_D_Latch.zip
bullet

Try it yourself ... the functionality of the different implementations of D-Latches.

bullet

 CMOS D-Latches - Based on transmission gates

Learn more about the CMOS D-latch here
(go to the bottom)