Home ] Up ] The Gnome ] [ PicoBlaze ]
PicoBlaze

Up ] Introduction ] Instruction set ] Starter Example ] Tools ] Example 1 ] Example 2 (BASYS) ] Example 2 (NEXYS) ] Examples ]

bullet

Picoblaze_Starter1.zip  - Basic pB design with stopwatch

bullet

Pong5.zip - Extented pB design with VGA interface and VideoRAM (not finished)

bullet

Pong.zip - The old PONG game - no processor - only logic

bullet

Pong1.pdf - Describtion of the PONG game

 

Hardware vs. Software

The IT-revolution of our time, started with the first chip based CPU - the 4004 back in November 1971. Before that time was all consumer electronic based at dedicated chips (ACISs) or chips from the 74x series of TTL-logic.
CPUs like the 4004 made it possible to convert hardware into software - namely by creating a general hardware design - the CPU board and the solve problems with machine codes stored in memory.

The next revolution came with the Programmable Logic Devices - like the FPGA's. In combination with Hardware Description Languages like VHDL was it now possible to create hardware as easy like if it was software.

But will it always be the best solution to solve all problems with FPGA's and dedicated logic design, involving state machines?
No - of course not, in fact will Microcontrollers still be the best solution for many applications (sorry FPGA)

Even if your design involves a FPGA will you properly need some CPU power for "non-time-critical" tasks like operator communication etc. And it such cases will a soft-processor properly be of great advantage.

In fact can you design your own CPU from scratch and hence get the facilities and performance you need - but the best solution will properly be a standard product like: PicoBlaze, Arm, MicroBlaze etc.

 

  The PicoBlaze also called the KCPSM - Ken Chapman Programmable Statemachine after its inventor.

Lots of documents and tools for this great - little machine can be found at the net - please search yourself: Google - PicoBlaze

However are the most interesting sites:

bullet http://www.xilinx.com/ipcenter/processor_central/picoblaze/picoblaze_user_resources.htm - where you can download KCPSM3 along with the documentation - specially UG129.pdf
bullet http://en.wikipedia.org/wiki/PicoBlaze - Where a brief story given
bullet http://www.mediatronix.com/tools/index.htm - Where an IDE for software development - simulation debug can be found.