Home ] Up ] [ Introduction ] Instruction set ] Starter Example ] Tools ] Example 1 ] Example 2 (BASYS) ] Example 2 (NEXYS) ] Examples ]
Introduction

Up ]

bullet

 The PicoBlaze or the KCPSM3 named after its inventor Ken Chapman, System designer at Xilinx.
The PSM stands for Programmable State Machine - The source code can be downloaded for free from Xilinx website
The source code defined at a rather low level VHDL, which gives a very efficient utilization of the FPGA structure but also makes it very hard to understand for an interested designer.

 

bullet

 The machine code for a KCPSM3 will use one BlockRAM / ROM and its possible to create a design where the code can be loaded directly to the BRAM via the JTAG interface.